Introduction
The semiconductor industry has identified five major growth engines (applications): mobile, high-performance computing (HPC), autonomous vehicles, Internet of Things (IoT), and big data/edge computing. Advanced packaging technologies play a crucial role in enabling these applications by increasing speed, interconnect density, and power dissipation. This tutorial will explore various advanced packaging technologies, including 2D, 2.1D, 2.3D, 2.5D, and 3D IC integration, with a focus on chiplet design and heterogeneous integration packaging.
Flip-Chip Bumping and Bonding/Assembly
Flip-chip bumping is a critical process for advanced packaging. The most widely used bumps are controlled collapse chip connection (C4) bumps and chip connection (C2) bumps, also known as microbumps (μbumps). Figure 3 illustrates the wafer bumping processes for C4 and C2 bumps.
C2 bumps, consisting of a copper pillar with solder caps, offer advantages over C4 bumps, including finer pitch capability, better thermal and electrical performance, as shown in Table 1.
Table 1. C4 bumps versus C2 bumps: bump pitch and self-alignment.
Thermal conductivity (W/m∙K) | Electrical resistivity (pΩ∙m) | Bump pitch | Self-alignment | |
Cu | 400 | 0.0172 | - | - |
C4 bump (solder) | 55-60 | 0.12-0.14 | ≥50 µm | Very good |
C2 bump (Cu pillar + solder cap) | 300 (effective) | 0.025 (effective) | <50 µm | Very poor |
Flip-chip bonding/assembly methods include mass reflow, thermocompression bonding (TCB) with low or high force, and bumpless hybrid bonding. Figure 4 depicts these methods.
Hybrid Bonding
Hybrid bonding, also known as direct bond interconnect (DBI), is a bumpless, low-temperature bonding technique that simultaneously forms electrical interconnects and bonds wafers or chips. Figure 5 illustrates the key process steps for DBI.
Sony was the first to use DBI in high-volume manufacturing for its IMX260 backside-illuminated CMOS image sensor (BI-CIS), as shown in Figs. 6 and 7. Other companies, such as TSMC, Intel, SK Hynix, and imec, are also exploring hybrid bonding.
TSMC's System on Integrated Chips (SoIC) technology, shown in Fig. 8, utilizes bumpless Cu-Cu hybrid bonding for chiplet integration, offering superior electrical performance and higher interconnect density compared to conventional flip-chip technology.
Intel's FOVEROS Direct, illustrated in Fig. 9, is a hybrid bonding technology that enables a pad pitch as low as 10 μm and 10,000 bumpless interconnects per mm², surpassing the capabilities of conventional 50-μm-pitch μbump flip-chip technology.
SK Hynix demonstrated wafer-to-wafer Cu-Cu hybrid bonding for stacking DRAMs, as depicted in Fig. 10.
2D IC Integration
2D IC integration refers to having at least two chips on the same package substrate or fan-out redistribution layer (RDL) substrate. Figures 11 and 12 provide examples of 2D IC integration, which can be realized through various packaging technologies, such as flip-chip, wire bonding, fan-out with chip-first or chip-last.
2.1D IC Integration
2.1D IC integration involves fabricating fine metal linewidth and spacing (L/S) thin-film layers directly on top of a build-up package substrate or high-density fan-out (HDFO) substrate, as shown in Fig. 13. This enables higher interconnect density and improved electrical performance compared to 2D IC integration.
2.3D IC Integration
In 2.3D IC integration, multichips are supported by an inorganic or organic through-silicon via (TSV)-less interposer (substrate) and then attached to a package substrate, as illustrated in Fig. 14. This approach offers higher interconnect density and performance compared to 2D and 2.1D IC integration.
2.5D IC Integration
2.5D IC integration involves multichips supported by a passive TSV interposer and then attached to a package substrate, as depicted in Fig. 15. This technology provides higher interconnect density and performance than 2D, 2.1D, and 2.3D IC integration.
3D IC Integration
In 3D IC integration, multichips are supported by an active TSV interposer and then attached to a package substrate, as shown in Fig. 16. This approach offers the highest interconnect density and performance among the advanced packaging technologies discussed.
Chiplet Design and Heterogeneous Integration Packaging
Chiplet design and heterogeneous integration packaging provide alternatives to system-on-chip (SoC) designs, especially for advanced nodes. By partitioning the SoC into smaller chiplets optimized for different process nodes and functionalities, and then integrating them through advanced packaging technologies, chiplet designs offer benefits such as improved yield, reduced development costs, and increased flexibility.
Substrates for Advanced Packaging
Different substrates are employed for advanced packaging, depending on the application's requirements for size, pin count, and metal linewidth and spacing. Figure 17 illustrates various substrates used in advanced packaging.
Lateral Communication between Chiplets
Lateral communication between chiplets is facilitated by silicon bridges embedded in organic build-up package substrates, fan-out epoxy molding compounds (EMCs), or flexible bridges, as shown in Fig. 18.
Fan-In and Fan-Out Packaging
Fan-in packaging, such as six-side molded wafer-level chip-scale packages (WLCSPs), provides a compact form factor, as depicted in Fig. 19. Fan-out packaging, available in chip-first, chip-last, and other configurations, offers higher input/output (I/O) density and better thermal and electrical performance, as illustrated in Fig. 20.
Low-Loss Dielectric Materials
For high-speed and high-frequency applications in advanced packaging, low-loss dielectric materials are essential. These materials minimize signal loss and enable better electrical performance.
Conclusion
Advanced packaging technologies, including 2D, 2.1D, 2.3D, 2.5D, and 3D IC integration, along with chiplet design and heterogeneous integration packaging, play a crucial role in enabling the semiconductor industry's growth engines. Techniques such as hybrid bonding, fan-in and fan-out packaging, and the use of low-loss dielectric materials contribute to higher interconnect density, improved electrical performance, and enhanced thermal management. As the demand for high-performance computing, autonomous vehicles, and other emerging applications continues to grow, advanced packaging will remain a critical enabler for semiconductor innovation.
Reference
[1] J. H. Lau, Chiplet Design and Heterogeneous Integration Packaging. 1st ed. Singapore: Springer, 2023. [Online]. Available: https://doi.org/10.1007/978-981-19-9917-8
Comentários